猿问

不太清楚这个定义哪里错了求帮忙改改

module sw(
input wire [9:0] hc,

input wire [7:0] sw,
output reg [7:0] m[0:199],
input wire [7:0] n[0:199]

);
wire [7:0] i;
always @(posedge hc[9])
begin 
for (i=0;i<199;i=i+1)
m[i]=n[i+1];
m[199]=sw ;
end
endmodule
ERROR:HDLCompilers:26 - "sw.v" line 26 expecting ')', found '['
ERROR:HDLCompilers:28 - "sw.v" line 33 'm' has not been declared
ERROR:HDLCompilers:28 - "sw.v" line 34 'm' has not been declared

Cats萌萌
浏览 131回答 2
2回答

千巷猫影

1. 有 3个4bit数组2. 一般声明为 reg [3:0] mem_xx [0:2],注意顺序。3. 数组的初始化可用readmemh和readmemb4. 使用时直接mem_xx[2] = 4'bxxxx这样就好。

芜湖不芜

不要放在端口定义里面,最好把端口定义,输入输出,端口类型分开定义。你这看着太乱了,虽然省地方,但是要养成标准的写法。还有就是你的for语句用错了,你在看看for是怎么用的,少一个函数。
随时随地看视频慕课网APP
我要回答